WEB BLOG
this site the web

Seven Segment Display



Seven Segmen Display merupakan sebuah rangkaian komponen logika digital yang dapat menampilkan sebuah karakter berupa angka-angka maupun huruf digital sehingga dapat dimengerti oleh manusia. Seven segmen display terdapat 7(tujuh) dioda berupa LED(Light Emitting Diode) . Gambar di disamping ini memperlihatkan gambaran tentang 7-segment yang masing-masing segment diberi notasi mulai dari angka 0-9 dan huruf a, b, c, d, e, f, dan g.

Seringkali seven segment tersebut diatur dalam suatu pengaturan angka , yang membantu mudah dibaca oleh manusia. Dalam sebagian besar aplikasi, seven segment adalah bentuk hampir seragam dan ukuran (biasanya memanjang segi enam, meskipun trapezoids dan persegi panjang juga bisa digunakan).

sevent segment display dapat digunakan untuk menunjukkan surat dari cyrillic, latin dan huruf yunani termasuk tanda baca, tetapi hanya sedikit yang jelas representasi dan intuitif pada saat yang sama. Hal ini dimungkinkan untuk mewakili heksadesimal tegas dengan menggunakan campuran kasus huruf (abcdef yang khas) dan menggunakan representasi dari 6 yang memiliki segmen atas diterangi. Hal ini sering digunakan untuk kode heksadesimal output untuk tujuan pemecahan masalah. pesan pendek memberikan informasi status (misalnya "tidak disc" pada pemutar CD) juga sering diwakili display 7-segmen. Dalam kasus pesan tersebut tidak diperlukan untuk setiap huruf harus jelas, hanya karena kata-kata secara keseluruhan untuk dapat dibaca.

Cara untuk dapat menghasilkan sinyal-sinyal pengendali dari seven segmen display dengan menggunakan seven segmen decoder atau berupa sandi Binary Code Decimal (BCD) yang membutuhkan 4(empat) inputan dengan angka berbasis heksadesimal (bahasa mesin) yang kemudian sinyal-sinyal inputan tersebut akan diterjemahkan ke dalam 7(tujuh) sinyal yang setiap sinyalnya mengatur aktif atau tidaknya LED.






Contoh program vhdl dari bcd to seven segmen display :


Entity switch_1 is

Port ( d : in STD_LOGIC_VECTOR (3 downto 0) ;

S : out STD_LOGIC_VECTOR (7 downto 0)) ;

End switch_1;

Architecture Behavorial of switch_1 is

Begim

S <= “00000110” when d=”00010” else –1

“01011011” when d=”0010” else --2

“01001111” when d=”0011” else --3

“01100110” when d=”0100” else --4

“01101101” when d=”0101” else --5

“01111101” when d=”0110” else --6

“00000111” when d=”0111” else --7

“01111111” when d=”1000” else --8

“01101111” when d=”1001” else --9

“01110111” when d=”1010” else --A

“01111100” when d=”1011” else --B

“00111001” when d=”1100” else --C

“01011110” when d=”1101” else --D

“01111001” when d=”1110” else --E

“01110001” when d=”1111” else -- F

“11111111”; --0

end Behavorial;


1 komentar:

ESTPR mengatakan...

mantab gann

Posting Komentar

 

W3C Validations

Cum sociis natoque penatibus et magnis dis parturient montes, nascetur ridiculus mus. Morbi dapibus dolor sit amet metus suscipit iaculis. Quisque at nulla eu elit adipiscing tempor.

Usage Policies