WEB BLOG
this site the web

LIBRARY DAN PACKAGE VHDL

VHDL dikenal pula paket library/pustaka yang berfungsi untuk memudahkan prorammer untuk menyelesaikan pekerjaannya karena dalam library tersebut terdapat fungsi-fungsi dan tipe data yang sudah didefinisikan sebelumnya untuk digunakan berulang-ulang. Dalam vhdl terdiri beberapa library, diantaranya ieee, std, work dan lain-lain. Di dalam library tersebut terdapat sub-tree yang disebut sebagai paket, diantaranya :

LIBRARY IEEE :
- IEEE.numeric_std.all;
- IEEE.math_real.all;
- IEEE.std_logic_1164.all;
- IEEE.std_logic_arith.all;
- IEEE.std_logic_signed.all;
- IEEE.std_logic_unsigned.all;
- IEEE.vital_timing.all;
- IEEE.math_timing.all;

LIBRARY STD :
- STD.standard.all;
- STD.textio.all;


LIBRARY WORK :
semua source code user akan dicompile dan dimasukkan ke dalam library ini

0 komentar:

Posting Komentar

 

W3C Validations

Cum sociis natoque penatibus et magnis dis parturient montes, nascetur ridiculus mus. Morbi dapibus dolor sit amet metus suscipit iaculis. Quisque at nulla eu elit adipiscing tempor.

Usage Policies